IE1204 Digital Design Aritmetik Låskretsar, vippor, FSM FSM, VHDL introduktion Asynkron FSM F1 F3 F5 Ö3 F8 F10 F12 Ö8 F2Ö1 Ö2 Ö6 F13 F9Ö5 tentamen William.

Slides:



Advertisements
Liknande presentationer
För att göra avklippta hörn på en bild använder man sig av verktyget Picture Shape. Detta verktyg hittar du under fliken Picture Tools (som du får upp.
Advertisements

SOA Governance with SOA Software For BUGS Martin Svensson.
Click here to start Demo in English Klicka här för att starta Demo på Svenska It’s all about efficiency.
You should put a comma before a person’s name if you're talking directly to them… Come here, Lily! …or when you are introducing or talking about a person.
Nyinstallerad Webinfo •I Central parameters skapa parametern Statlogger och ge value 1 Logga endast vissa sidor genom att skapa parametern i PageId.
William Sandqvist Simulera med ModelSim ModelSim kan användas till att simulera VHDL-kod, för att avgöra om den är "rätt" tänkt. Man kan.
Digitalteknik 7.5 hp distans: VHDL del 1 V:1.1
7 Det är= there is/are, it is
© Apoteket AB Sidhuvud med plats för gemensamt namn för OH-serien Sidhuvud med plats för Enhet / Utförare – Internt Swedish community pharmacy classification.
Många studenter använder en LCD-display till sin programmeringsuppgift
1.Numerical differentiation and quadrature Discrete differentiation and integration Ordinary.
Landscaped Spaces Design for Health This slide show contains images related to health and the built environment. For more information see
Aims and outcomes Levnadsvillkor, attityder, värderingar och traditioner samt sociala, politiska och kulturella förhållanden i olika sammanhang och delar.
Kom och utveckla din syn på ledarskap!. Hur möter du de nya kraven på dig som ledare, säljare och i serviceyrken? Välkommen till frukostmöte om LUCK-konceptet.
William Sandqvist Datorteknik övning 2 Subrutinanrop William Sandqvist
IE1204 Digital Design F1 F2 Ö1 Booles algebra, Grindar F3 F4
IE1206 Inbyggd Elektronik F1 F2
IE1206 Inbyggd Elektronik F1 F2
IE1206 Inbyggd Elektronik F1 F2
Creating an Adobe Presentation Rapidly create Flash-based presentations and eLearning courses from PowerPoint Set Preferences Add or Edit Audio Add multimedia.
9 September 2014IS1200 Datorteknik, förel 101 IS1200 Datorteknik Föreläsning Processorkonstruktion 2. DMA, Direct Memory Access 3. Byte-code i JAVA.
Styrteknik: Binära tal, talsystem och koder D3:1
Styrteknik: Tidskretsar, räknare PLC3B:1
Figure Types of analog-to-analog modulation
Transport models Are they really that important? Christian Nilsson, WSP 17 October 2014.
Mathematics 1 /Matematik 1 Lesson 2 – Functions and their solutions Lektion2 – Funktioner och deras lösningar.
Presens och imperfekt av have. Translate! Jag har huvudvärk. Hon har en röd Volvo. De har två barn tillsammans.
IE1206 Inbyggd Elektronik F1 F2
FIRMA OCH VARUMÄRKESENKÄT Näringslivets syn på firma och varumärken Industry’s view of trade names and trademarks.
Abelli, B. (2004). Programmeringens Grunder – med exempel i C#. Lund: Studentlitteratur 1 De bifogade OH-bilderna är bara utkast till vad som kan vara.
IF1330 Ellära F/Ö1 F/Ö2 F/Ö3 Strömkretslära Mätinstrument Batterier
För att uppdatera sidfotstexten, gå till menyfliken: Infoga | Sidhuvud och sidfot Fondbolagsträff 2015.
Multiplexern som kombinatorisk krets
William Sandqvist Kodlåsmall lockmall.vhd William Sandqvist
IE1206 Inbyggd Elektronik F1 F2
Styrteknik: Grundläggande logiska funktioner D2:1
William Sandqvist Låskretsar och Vippor Låskretsar (latch) och vippor (flip-flop) är kretsar med minnesfunktion. De ingår i datorns minnen.
IE1204 Digital Design F1 F2 Ö1 Booles algebra, Grindar F3 F4
IF1330 Ellära F/Ö1 F/Ö2 F/Ö3 Strömkretslära Mätinstrument Batterier
IF1330 Ellära F/Ö1 F/Ö2 F/Ö3 Strömkretslära Mätinstrument Batterier
Mathematics 1 /Matematik 1 Lesson 7 – complex numbers Lektion 7 – Komplexa tal.
F14_B_be1 Telekommunikation, Kiruna Källkodning F14_B /BE /BE.
William Sandqvist Övning 8 Minnessystem Lokalitet Cacheminnen William Sandqvist
31 March 2015 IS1200 Datorteknik ht2009 föreläsning 2, (D2)1 IS1200 Datorteknik Föreläsning 2 Vi bygger en processor Kursboken, valda delar av kapitel.
IS1200 Datorteknik Föreläsning CE F2 Vi bygger en processor Kursboken, delar av kapitel 7 31 March IS1200 Datorteknik föreläsning CE – F2.
Anläggnings- & vägmodeller
 Who frågar efter en persons (eller personers) identitet (vem dem är).  Who is he?  Who are they?  Who is coming?
To practise speaking English for 3-4 minutes Genom undervisningen i ämnet engelska ska eleverna ges förutsättningar att utveckla sin förmåga att: formulera.
1-1 Copyright © 2009 Pearson Education, Inc. Publishing as Pearson Addison-Wesley 1-1 Programmering 7.5 hp Programmering är... creativ, fascinerande, roligt,
Shannon dekomposition
William Sandqvist Några experiment med XPortPro.
CHI-TSONG CHEN KAPITEL 2- Systems Kortfattade läsanvisningar Läs hela kapitlet utom 2.9 och 2.10.
THINGS TO CONSIDER WHILE PLANNING A PARTY Planning an event can take an immense amount of time and planning. Even then, the biggest problem that arises.
STEPS TO FOLLOW FOR BECOMING A SHIP CAPTAIN A career as a ship captain can be a tedious task. Ship captains take care of business, navigation and operation.
SAFETY EQUIPMENT USED IN MARITIMEOPERATIONS One of the most important sections in maritime courses consists of boat and ship operations. Safety is an important.
Digitization and Management Consulting
Types of Business Consulting Services Cornerstoneorg.com.
Mathematics 1 /Matematik 1
Bringapillow.com. Online Dating- A great way to find your love! The words ‘Love’ and ‘Relationship’ are close to every heart. Indeed, they are beautiful!
Work of a Family law attorney Jagianilaw.com. A Family Law Attorney basically covers a wide range spectrum of issues that a family may face with difficulty.
Meeting singles had never been so easy before. The growing dating sites for singles have given a totally new approach to getting into relationships. ‘Singles.
Hoppas det här går hem ! Bildspelet vecka 3 5 BE ® BrucElvis
Formal Languages, Automata and Models of Computation
You Must Take Marriage Advice to Stop Divorce! Dontgetdivorced.com.
Figure Types of analog-to-analog modulation
Konstruktion av kombinatorisk och sekventiell logik
Titel på projektet Title of the project
Presentationens avskrift:

IE1204 Digital Design Aritmetik Låskretsar, vippor, FSM FSM, VHDL introduktion Asynkron FSM F1 F3 F5 Ö3 F8 F10 F12 Ö8 F2Ö1 Ö2 Ö6 F13 F9Ö5 tentamen William Sandqvist F4 F6 Booles algebra, Grindar MOS-teknologi, minimering Ö4 F11 Multiplexor Ö7 Minnen F14 Kombinatoriska kretsar F7 Föreläsningar och övningar bygger på varandra! Ta alltid igen det Du missat! Läs på i förväg – delta i undervisningen – arbeta igenom materialet efteråt! KK1 LAB1 KK2 LAB2 KK3 LAB3

Shannon dekomposition William Sandqvist Claude Shannon matematiker/elektrotekniker (1916 –2001)

William Sandqvist (ÖH 8.6) Visa hur en 4-to-1 multiplexor kan användas som ”funktionsgenerator” för att tex. Generera OR-funktionen. =

William Sandqvist BV 6.1 Show how the function can be implemented using a 3-to-8 decoder and an OR gate.

William Sandqvist ÖH 8.7 En majoritetsgrind antar på utgången samma värde som en majoritet av ingångarna. Grinden kan tex. användas i feltolerant logik, eller till bildbehandlingskretsar. a) ( Ställ upp grindens sanningstabell och minimera funktionen med Karnaughdiagram. Realisera funktionen med AND-OR grindar. ) b) Realisera majoritetsgrinden med en 8:1 MUX. c) Använd Shannon dekomposition och realisera majoritetsgrinden med en 2:1 MUX och grindar. d) Realisera majorotetsgrinden med bara 2:1 MUXar.

William Sandqvist (8.7a) Med AND OR grindar

William Sandqvist 8.7b Med 8-to-1 mux …

William Sandqvist 8.7c Shannon dekomposition. 2-to-1 mux och grindar. OR

William Sandqvist 8.7d Shannon dekomposition. Enbart 2-to- 1 muxar.

BV 6.5 William Sandqvist For the function use Shannon’s expansion to derive an implementation using a 2-to- 1 multiplexer and any necessary gates.

William Sandqvist (ÖH 8.9) Visa hur en 4 ingångars exorgrind (XOR, udda paritetsfunktion) realiseras i en FPGA-krets. Visa innehållet i SRAM-cellerna (LUT, LookUp Table).

William Sandqvist (8.9)

William Sandqvist (8.9)

William Sandqvist (ÖH 8.8) Ställ upp Ställ upp heladderarens sanningstabell. Visa hur en heladderare realiseras i en FPGA-krets. Logikelementen i en FPGA har möjlighet att kaskadkoppla C OUT och C IN mellan ”grannarna”. Visa innehållet i SRAM- cellerna (LUT, LookUp Table).

William Sandqvist (8.8)

William Sandqvist (8.8)

William Sandqvist (BV ex 6.31) In digital systems it is often necessary to have circuits that can shift the bits of a vector one or more bit positions to the left or right. Design a circuit that can shift a four-bit vector W = w 3 w 2 w 1 w 0 one bit position to the right when a control signal Shift is equal to 1. Let the outputs of the circuit be a four-bit vector Y = y 3 y 2 y 1 y 0 and a signal k, such that if Shift = 1 then y 3 = 0, y 2 = w 3, y 1 = w 2, y 0 = w 1, and k = w 0. If Shift = 0 then Y = W and k = 0.

William Sandqvist Vi använder multiplexorer: (BV ex 6.31)

William Sandqvist BV ex Barrel shifter The shifter in Example 6.31 shifts the bits of an input vector by one bit position to the right. It fills the vacated bit on the left side with 0. If the bits that are shifted out are placed into the vacated position on the left, then the circuit effectively rotates the bits of the input vector by a specified number of bit positions. Such a circuit is called a barrel shifter. Design a four-bit barrel shifter that rotates the bits by 0, 1, 2, or 3 bit positions as determined by the valuation of two control signals s 1 and s 0. En barrelshifter används för att snabba upp flyttalsoperationer.

William Sandqvist Barrel shifter

William Sandqvist BV ex Sanningstabell: Och så vidare …

William Sandqvist BV 6.16 Actel Corporation manufactures an FPGA family called Act 1, which uses multiplexer based logic blocks. Show how the function can be implemented using only ACT 1 logic blocks.

William Sandqvist BV 6.16

William Sandqvist BV 2.51a Write VHDL code to describe the following functions VHDL koden skrivs med en texteditor och sparas i en fil med ändelsen.vhd. Koden består alltid av två avsnitt ENTITY och ARCHITECTURE. Entity är en beskrivning av hur kretsen ”ser ut utifrån” (gränssnittet), och Architecture hur den ”ser ut inuti.”

William Sandqvist BV 2.51a Kommentarer börjar med –- Om man vill, kan man ”rita” förtydligande ASCII-grafik inom kommentarraderna. -- ___________ -- | | -- | Functions | -- ->-| x1 | -- ->-| x2 f1 |->- -- ->-| x3 f2 |->- -- ->-| x4 | -- |___________| -- Programkod skrivs med texteditorer. Man kan därför bara göra text- kommentarer till koden. Ett typsnitt med fast bredd används ( ex. Courier New ). Man brukar också indentera textblock som hör ihop för ökad tydlighet.

William Sandqvist BV 2.51a ENTITY Functions IS PORT(x1, x2, x3, x4 :IN STD_LOGIC; f1, f2, :OUT STD_LOGIC ) END Functions ARCHITECTURE LogicFunc OF Functions IS BEGIN f1 <= (x1 AND NOT x3)OR(x2 AND NOT x3)OR (NOT x3 AND NOT x4)OR(x1 AND x2)OR (x1 AND NOT x4); f2 <= (x1 OR NOT x3)AND(x1 OR x2 OR NOT x4)AND (x2 OR NOT x3 OR NOT x4); END LogicFunc ;

BV 6.21 William Sandqvist Using a selected signal assignement, write VHDL code for a 4-to-2 binary encoder. Only one of w0 …w3 is ”1” at a time. LIBRARY ieee; USE IEEE.std_logic_1164.all; ENTITY ENCODER IS PORT( w :IN STD_LOGIC_VECTOR( 3 DOWNTO 0 ) ; y :OUT STD_LOGIC_VECTOR( 1 DOWNTO 0 ) ); END ENCODER ARCHITECTURE Behavior OF ENCODER IS BEGIN WITH w SELECT y <= ”00” WHEN ”0001”, ”01” WHEN ”0010”, ”10” WHEN ”0100”, ”11” WHEN OTHERS; END Behavior ;