Presentation laddar. Vänta.

Presentation laddar. Vänta.

Vad kännetecknar ett sekvensnät?

Liknande presentationer


En presentation över ämnet: "Vad kännetecknar ett sekvensnät?"— Presentationens avskrift:

1 Vad kännetecknar ett sekvensnät?
Ett sekvensnät kännetecknas av att nätets utsignaler inte bara beror av det just rådande tillståndet hos insignalerna utan även av tidigare tillstånd. Skillnaden mellan ett sekvensnät och ett kombinatoriskt nät är att utgångarna "återkopplas" till nätets ingångssida. Då uppkommer självhållningseffekter i nätet på samma sätt som hos SR- latchen. Detta är förklaringen till att en och samma insignal kan ge upphov till skilda utsignaler vid olika tillfällen. Sekvensnät styr i hissar, trafikljus och i datorernas CPU. William Sandqvist

2 William Sandqvist william@kth.se
Moore-automat En vanlig typ av sekvensnät är Moore-automaten. Nätets ”minnesfunktion” sitter i ett Tillståndsregister uppbyggt av D-vippor. Ett logiknät – Nästa tillståndsavkodaren – förutsäger det kommande tillståndet utifrån det nuvarande tillståndet och insignalerna. När klockpulsen CP kommer hamnar man i det nya tillståndet. William Sandqvist

3 Tillståndsregistrets D-vippor
Tillståndsregistrets D-vippor bromsar upp kapplöpningen mellan signalerna tills värdet är stabilt. (Jämför med tullstationen). ? ! William Sandqvist

4 Tillståndsregistret – D-vippor
Kombinationskrets, D-vippa och låskrets. D-vippor har ofta också låskretsingångar av SR-typ. Klockpulsflankerna och D-ingången styr vippan, men bara om SR-ingångarna "tillåter" det. SR-ingångarna är Asynkrona, direktverkande, oberoende av klockan, medan D-ingången är synkron, och beroende av klockpulserna. Sådana R-ingångar brukar ofta användas till nollställning av kretsarna vid systemstart. ( PR står för Preset och CLR för Clear ) William Sandqvist

5 William Sandqvist william@kth.se
Kaffeautomaten William Sandqvist

6 Exempel: En kaffeautomat
Nästa tillståndsavkodaren ska förutsäga nästa tillstånd – ungefär som oraklet i Delfi förutsäger framtiden! Uppgiften är inte omöjlig, det finns en systematisk metod som steg för steg leder till målet. !coin betyder inverterat vilkor Första steget är att rita ett Tillstånds-diagram. Cirklarna Z0 Z1 och Z2 är tillstånden. Pilarna är möjliga övergångar mellan tillstånden och texten vid pilarna är vilkoret för övergången. Bredvid cirklarna står vad som ska hända i tillstånden. 1 betyder alltid William Sandqvist

7 Tillståndsdiagrammet, insignaler
Kaffeautomaten har två insignaler. coin från myntinkastet som anger att en pollett passerat en fotocell där. full från en givare som ”övervakar" plastmuggen under fyllningen. coin = 1 när myntet passerar. full = 1 när muggen blir full. William Sandqvist

8 Tillståndsdiagrammet, utsignaler
Kaffeautomaten har två utsignaler. drop_cup till en matarenhet för plastmuggar. coffee till en magnetventil för påfyllning av kaffet. Mataren matar fram en mugg var gång drop_cup blir "1", och kaffe fylls på så länge som coffee = 1. William Sandqvist

9 Tillståndsdiagrammet, övergångar
I första tillståndet, Z0, gäller för ut-signalerna att man inte släpper någon kopp (!drop_cup), och inte häller i någon dryck (!coffee) förrän man fått betalning (coin). I andra tillståndet, Z1, släpps plast-muggen (drop_cup). "1" betyder här "alltid uppfyllt” så nästa klockpuls (om 1 sek) lämnar man således Z1 för Z2. Lämplig klockfrekvens 1 Hz I det tredje tillståndet, Z2, återställs mataren för plastmuggar (!drop_cup) och koppen börjar fyllas med dryck (coffee). Detta pågår tills muggen är full (full). Vi hamnar då åter i utgångsläget. William Sandqvist

10 Kaffeautomaten som Moore-automat
Tillståndsdiagrammet har tre tillstånd. Tillståndsregistret måste innehålla minst tre olika kombination-er. Det behövs två D-vippor ( 22 = 4 >3). Beteckningar. D-vippornas utgångar betecknas med ql q0, ingångarna, som bär nästa tillstånds signalen, brukar betecknas ql+ q0+ där plustecknet står för det "kommande" tillståndet. Sekvensnätets utgångar och ingångar betecknas ul u0, il i0. Om vippornas utgångar styr utsignalerna direkt slipper man utgångsavkodaren! William Sandqvist

11 Kodad tillståndstabell
(ql+ q0+) = f ( ql q0 , il i0 ) Kodad tillståndstabell Observera att tabellen är uppställd som ett Karnaughdiagram. Detta kommer att under-lätta framtagandet av grindnäten senare! Z1: full och !coin. En gammal full mugg finns kvar. Släpp inte ner den nya muggen i den gamla! Stanna kvar i Z1 (01). Z1: !full och coin. Gå till Z2 (10). Z1: Enligt det tidigare presenterade tillståndsdiagrammet ska man från Z1 gå direkt till Z2. Är detta så välbetänkt? !full och !coin. Gå till Z2 (10). Z1: full och coin. En gammal full mugg finns kvar. Släpp inte ner den nya muggen i den gamla! Stanna kvar i Z1 (01). Z2: I de två sista kolumnerna har koppen blivit full och vi ska gå till Z0 (00). I de två första kolumnerna stannar man kvar i Z2 (10) och fortsätter att fylla på dryck. ??: I tillståndsdiagrammet har vi glömt bort ett fjärde tillstånd! Det ska normalt inte kunna inträffa, men sekvensnätet kan naturligtvis utsättas för en störning. Tillståndet innebär att automaten kontinuerligt "fyller" kaffe, varför det måste förhindras. Att gå till Z0 (00) oavsett insignal är mest lönsamt ( för automatägaren ). Z0: full och coin. Tredje kolumnen innebär att betalningen har kommit, men att en gammal full kopp tydligen finns kvar. Om det är osäkert om någon avlägsnar den bör nästa tillstånd bli att stanna kvar i Z0 (00). Så här bygger man upp den kodade tillståndstabellen steg för steg … Z0: !full och !coin. Vi väntar på betalning och det finns inte någon full kopp i automaten. Nästa tillstånd blir att stanna kvar, ql+q0+ = 00. Z0: !full och coin. Den andra kolumnen innebär att betalningen har kommit, nästa tillstånd blir således Z1, ql+q0+ = 01. Z0: full och !coin. Full kopp (gamla) och inget mynt; stanna kvar i Z0 (00). William Sandqvist

12 Ett mer genomtänkt tillståndsdiagram
Detta enkla exempel visar den stora fördelen med en systematisk syntes-metod; man tvingas överväga alla möjligheter för att därmed se till att sekvensnätet gör det bästa av varje situation, även sådana som "egentligen" inte ska kunna hända (men som vi alla vet ändå kommer att hända …). Vi kan nu "rätta till" det ursprungliga tillståndsdiagrammet. William Sandqvist

13 Från tabell till grindnät
Tabellen kan splittras upp i två Karnaughdiagram, ett för ql+ = f ( ql q0 , il i0 ) och ett för q0+ = f ( ql q0 , il i0 ). Hoptagningar i Karnaughdiagrammen ger: William Sandqvist

14 William Sandqvist william@kth.se
Färdig kaffeautomat William Sandqvist

15 William Sandqvist william@kth.se
Programmerbar logik Programerbar logik. PAL16R4 innehåller fyra D-vippor som har grindnät med programmer-bara AND-grindar. En sådan krets räcker gott och väl till kaffeautomaten … William Sandqvist

16 Programmering av AND-matrisen
Nu för tiden programmerar man inte längre kretsarna för hand! William Sandqvist

17 (Hjälp till web-uppgiften …)
Så här tolkar Du beteckningarna vid pilarna. Så här överför man Karnaughdiagrammets hoptagningar till "korsningspunkter" i AND-matrisen. Systemet är så konstruerat att Du inte behöver bry dig om vilka rader Du använder eller i vilken ordning Du för in hoptagningarna. Vad innebär XOR-grinden? William Sandqvist

18 Programmeringsspråket VHDL
library IEEE; use IEEE.std_logic_1164.all; entity coffee_machine is port ( COIN, FULL : in std_logic ; DROP_CUP, COFFEE : out std_logic ) ; end coffee_machine ; architecture behavior of coffee_machine is type state is ( Z0, Z1, Z2, Z3 ) ; signal present_state, next_state : state ; state_register: process ( CLK ) begin if rising_edge( CLK ) then present_state <= next_state ; end if ; end process ; output_decode: process ( present_state ) begin case present_state is when Z0 => DROP_CUP <= '0' ; COFFEE <= '0' ; when Z1 => DROP_CUP <= '0' ; COFFEE <= '1' ; when Z2 => DROP_CUP <= '1' ; COFFEE <= '0' ; when Z3 => DROP_CUP <= '1' ; COFFEE <= '1' ; end case ; end process ; Entity next_state_decode: process ( present_state, COIN, FULL ) begin case present_state is when Z0 => if (NOT COIN OR NOT FULL) = '1' then next_state <= Z0 ; elsif (COIN AND NOT FULL) = '1' then next_state <= Z1 ; end if ; when Z1 => if FULL = '1' then next_state <= Z1 ; else next_state <= Z2 ; end if ; when Z2 => if FULL = '0' then next_state <= Z2 ; else next_state <= Z0 ; end if ; when Z3 => next_state <= Z3 ; end case ; end process ; end architecture behavior ; Next State Decoder State register Kaffeautomaten direkt från tillståndsdiagrammet Output decoder William Sandqvist

19 PIC-processorn. Programkod från tillståndsdiagrammet
Vanligen använder man ”switch() case:” satser. switch(State) { case Z0: if( coin||full ) State = Z0; if( coin&&!full ) State = Z1; break; case Z1: if( full ) State = Z1; if( !full ) State = Z2; break; case Z2: if( full ) State = Z0; if( !full ) State = Z2; break; case Z3: State = Z0; } William Sandqvist

20 William Sandqvist william@kth.se

21 Laboration med Moore-automat
Kör en motor fram/back varannan gång. Tre olika realiseringar.  Bygg automaten med logikkretsar  Programmerbar logik och VHDL  PIC-processor och tillståndsdiagram William Sandqvist

22 Laborationens automat liknar Pentiums ”Hoppgissare”
Pentiums hoppgissning: Det krävs ”två i rad” utförda hopp eller uteblivna hopp för att hoppgissningen ska ändras. - Gissar man hopp laddas pipelinen med instruktioner från hoppdestinationen. - Gissar man uteblivet hopp laddas pipelinen med efter-följande instruktioner. Gissar man rätt sparar man tid – gissar man fel måste pipelinen ”startas om”. William Sandqvist

23 Laboration. - wait - cw - wait - ccw -
1 Fortsätt själv vid labben … William Sandqvist

24 William Sandqvist william@kth.se
Bara NAND-grindar! Kommer Du ihåg ”Komplett logik NAND-NAND” ? OR AND och INV går att framställa med NAND-grindar. För logik-funktioner på SP-form kan man byta AND-OR grindarna mot NAND-NAND "rakt av". Kostnaden i antal grindar blir densamma! William Sandqvist

25 William Sandqvist william@kth.se
- wait - cw - wait - ccw - William Sandqvist

26 Laboration, med grindar
Med de direktverkande SR-ingångarna kan man styra sekvensnätet som om det vore ett vanligt kombinatoriskt nät! Bra för att kontrollera om man kopplat rätt! William Sandqvist

27 William Sandqvist william@kth.se

28 Laboration. Prova programmerbar logik och VHDL
Programmet Galaxy dir_ctrl.vhd VHDL  jedec William Sandqvist

29 Laboration. Prova programmerbar logik och VHDL
Kretsprogrammeraren ALL jedec-fil waccess jedec  chip William Sandqvist

30 Laboration. Prova PIC-processorprogram
switch(State) { case Z0: if( button == OFF ) State = Z0; if( button == ON ) State = Z1; break; case Z1: if( button == OFF ) State = Ooops!; if( button == ON ) State = Ooops!; break; case Z2: if( button == OFF ) State = Z2; if( button == ON ) State = Z3; break; case Z3: if( button == OFF ) State = Z0; if( button == ON ) State = Z3; } toggle628.c William Sandqvist

31 William Sandqvist william@kth.se
Användningsområden Logikkretsar – numera nästan bara ”lab” i skolor Programmerbar logik – när det är nödvändigt med snabbhet PIC-processor – för praktisk problemlösning William Sandqvist


Ladda ner ppt "Vad kännetecknar ett sekvensnät?"

Liknande presentationer


Google-annonser